search for: verilog

Displaying 20 results from an estimated 52 matches for "verilog".

Did you mean: erilog
2008 Dec 13
0
[LLVMdev] C-to-verilog
Hello, I wanted to tell the LLVM developers list about http://www.c-to-verilog.com. I created this website to provide free on-line compilation of C into Verilog. I use LLVM as the C parser and optimizer. I have been working on this project for 2 years now. I would like to thank Chris, Vikram and the rest of the LLVM developers. I am very happy with the LLVM infrastructure,...
2013 Aug 30
4
[LLVMdev] Reflexions about a new HDL language
Hi, For the synthesis backend which translate to VHDL or Verilog, I don't know if I will use LLVM. It will depend on how easy it is to play with concurrent statements with LLVM. For the simulation I will use LLVM because I can anyways artificially make the compiled code sequencial. It would allow me to benefit from all the nice things from LLVM like exi...
2013 Aug 30
0
[LLVMdev] Reflexions about a new HDL language
If you're designing a new high-level HDL, then it would be a good idea to familiarise yourself with the state of the art in this area (e.g. Bluespec System Verilog, Symbolics Processor Designer, and similar tools). Starting from comparisons to VHDL and Verilog is like designing a new high-level programming language today that is designed to be a better high-level programming language that is supposed to provide better rapid development support than Fortran 7...
2012 Sep 19
0
[LLVMdev] Scilab to Verilog
Hi, I am working on to implement Scilab codes to FPGA format i.e, a similar kind of c to vhdl by trident compiler.Is there anybody working on this? Regards Manas Das Research Assistant IIT-Bombay -------------- next part -------------- An HTML attachment was scrubbed... URL: <http://lists.llvm.org/pipermail/llvm-dev/attachments/20120919/7eac124e/attachment.html>
2011 Aug 22
1
[LLVMdev] llvm-fpga microblaze target
folks hi, something i just wanted to double-check. is it possible to use, with LLVM, entirely free software tools to build and upload to a xilinx microblaze FPGA target? i take some c code, put it through llvm-fpga, aaand... then what? is there any documentation about this stuff, anywhere? tia, l.
2013 Dec 05
6
[LLVMdev] llvm backend tutorial
...ub.com/lbd/index.html It include 10,000 lines of sources code for 1. Step by step, create an llvm backend for the Cpu0 which beginning from a CPU design for school teaching purpose in system programming. 2. ELF linker for Cpu0 which extended from lld. 3. elf2hex extended from llvm-objump. 4. Cpu0 verilog source code. With these code, reader can run the generated code from Cpu0 llvm backend compiler, linker and elf2hex and see how it run on your computer. The pdf and epub is also available in the web. It is a tutorial for llvm backend developer but not for an expert. It is also can be a material...
2007 Jul 17
3
[LLVMdev] GenericValue changes from 1.8 to 2.0
...fit an old-style GenericValue? I'm wondering if this might be an interim approach that would avoid me needing to rewrite huge amounts of code, and since we're not likely to be supporting anything other than C and C++ in the forseeable future, it makes some sense. Model checking VHDL and Verilog can come later. :-) >> The new GenericValue seems to have morphed into a struct, >> and looks like it's probably not intended to work in the same way as the >> old GenericValue class. >> > > Right. Correspondingly the interpreter code change a fair bit to de...
2013 Dec 06
0
[LLVMdev] llvm backend tutorial
...lude 10,000 lines of sources code for > > 1. Step by step, create an llvm backend for the Cpu0 which beginning from > a CPU design for school teaching purpose in system programming. > 2. ELF linker for Cpu0 which extended from lld. > 3. elf2hex extended from llvm-objump. > 4. Cpu0 verilog source code. > > With these code, reader can run the generated code from Cpu0 llvm backend > compiler, linker and elf2hex and see how it run on your computer. > The pdf and epub is also available in the web. It is a tutorial for llvm > backend developer but not for an expert. > It...
2012 Jun 07
0
[LLVMdev] TCE 1.6 released
...-------- TTA-based Co-design Environment (TCE) is a toolset for designing application-specific processors based on the Transport Triggered Architecture (TTA). The toolset provides a complete retargetable co-design flow from high-level language programs down to synthesizable processor RTL (VHDL and Verilog backends supported) and parallel program binaries. Processor customization points include the register files, function units, supported operations, and the interconnection network. This release adds support for LLVM 3.1, experimental Verilog backend for the Processor Generator, support for explici...
2013 Aug 30
2
[LLVMdev] Reflexions about a new HDL language
Hello, I previously sent this message, but it was in HTML only, so it was unreadable. I am thinking about making a compiler for a new HDL language, that will be more modern than VHDL and Verilog and allow a little higher level behavioral description than VHDL. For this language, I am beeing influenced by VHDL, Ada, Ruby and MyHDL. I also would like to write it in Ada. I don't know if it is a project that I will abandon as fast as it popped up in my mind, or not :-) . Anyways, here...
2002 Apr 11
1
Portable Ogg player
http://www.thekompany.com/embedded/tkcplayer/ Oh yea, it also does some PDA stuff :) __________________________________________________ Do You Yahoo!? Yahoo! Tax Center - online filing with TurboTax http://taxes.yahoo.com/ --- >8 ---- List archives: http://www.xiph.org/archives/ Ogg project homepage: http://www.xiph.org/ogg/ To unsubscribe from this list, send a message to
2007 Jul 17
0
[LLVMdev] GenericValue changes from 1.8 to 2.0
...GenericValue? I'm > wondering if this might be an interim approach that would avoid me > needing to rewrite huge amounts of code, and since we're not likely to > be supporting anything other than C and C++ in the forseeable future, it > makes some sense. Model checking VHDL and Verilog can come later. :-) Right now, the only interesting thing is 128-bit integers. You can get these on 64-bit targets if you use some funky GCC extensions. Normal code only produces "usual" sized integers. -Chris -- http://nondot.org/sabre/ http://llvm.org/
2013 Jul 04
1
Hardware design of an Opus IP
Hi everybody, I was wondering if there would be interest in having a hardware IP implementing Opus (hardware as in VHDL/Verilog description)? Does that make sense? Several companies that seem to have an interest in Opus (such as Skype, Mozilla, Broadcom, Orange, Huawei) could thus have a dedicated, efficient, low-power solution for phones and tablets. As LTE is being deployed en masse, it would probably be very nice to...
2013 Dec 05
0
[LLVMdev] llvm backend tutorial
...t include 10,000 lines of sources code for > > 1. Step by step, create an llvm backend for the Cpu0 which beginning from a CPU design for school teaching purpose in system programming. > 2. ELF linker for Cpu0 which extended from lld. > 3. elf2hex extended from llvm-objump. > 4. Cpu0 verilog source code. > > With these code, reader can run the generated code from Cpu0 llvm backend compiler, linker and elf2hex and see how it run on your computer. > The pdf and epub is also available in the web. It is a tutorial for llvm backend developer but not for an expert. > It is also c...
2012 Oct 10
1
[LLVMdev] Inconsistency in the LLVM docs
Yes I know, I'm having problems with these tests because they violate the documentation. :) Micah From: Nadav Rotem [mailto:nrotem at apple.com] Sent: Wednesday, October 10, 2012 11:52 AM To: Villmow, Micah Cc: llvmdev at cs.uiuc.edu Subject: Re: [LLVMdev] Inconsistency in the LLVM docs Hi Micah, We need to fix the documentation here. We want to be able to convert vectors of integers to
2011 Mar 18
3
alghorithm of working encoder in libtheora
Hi, Is somewhere alghorithm description of encoder process implemented in libtheora? May be some drafts? May be frame dataflow throw encoder stages? PLEASE -------------- next part -------------- An HTML attachment was scrubbed... URL: http://lists.xiph.org/pipermail/theora/attachments/20110318/c3e8e109/attachment.htm
2011 Aug 20
0
[LLVMdev] Xilinx zynq-7000 (7030) as a Gallium3D LLVM FPGA target
...ware (unless you're planning to ship an FPGA as the graphics card, in which case reprogramming is still too slow, and it'll be too expensive). A more accurate approach would be to say, "Well, Mesa is a software implementation of the whole OpenGL stack, right? Why not compile it to verilog using something like c-to-verilog.com (also powered by LLVM) then synthesize that?" The answer is that the resulting code will be far too inefficient to make a useful modern graphics card, and even if it were, you'll have to do lots of work to make it communicate of PCI and DVI, etc. H...
2007 Jul 17
2
[LLVMdev] GenericValue changes from 1.8 to 2.0
...'m >> wondering if this might be an interim approach that would avoid me >> needing to rewrite huge amounts of code, and since we're not likely to >> be supporting anything other than C and C++ in the forseeable future, it >> makes some sense. Model checking VHDL and Verilog can come later. :-) >> > > Right now, the only interesting thing is 128-bit integers. You can get > these on 64-bit targets if you use some funky GCC extensions. Normal code > only produces "usual" sized integers. > OK, in that case I have a 2 phase plan:...
2018 Dec 17
2
LLVM Backend for a platform with no (normal) stack
Not only do FPGAs not support recursion, we don’t even support calls! All user code must be inlined into one kernel/component, which is then used to create HDL for the FPGA. Mark From: Bruce Hoult <brucehoult at sifive.com> Sent: December 17, 2018 9:28 AM To: Mendell, Mark P <mark.p.mendell at intel.com> Cc: jjones at prc-hsv.com; LLVM Developers Mailing List <llvm-dev at
2018 Apr 04
2
LLVM back end for the research Connex SIMD processor
...low-power processor with scratchpad memory, a shift register for inter-lane communication, a hardware sum-reduction tree and predictable performance - you can find details about it at this address: http://users.dcae.pub.ro/~gstefan/2ndLevel/connex.html . The processor has a clean design and its Verilog source code could be made open-source. You can find the source code of the latest version of the compiler (LLVM back end and extensions for Connex in the LoopVectorize module, etc) and runtime I develop for the Connex SIMD processor at this address: https://sites.google.com/site/alexsusu/m...