search for: typealias

Displaying 4 results from an estimated 4 matches for "typealias".

2020 Aug 10
2
[RFC] llvm-diva - Debug Information Visual Analyzer
...t; 'unsigned int' [003] 2 {Parameter} 'ParamBool' -> 'bool' [003] {Block} [004] 5 {Variable} 'CONSTANT' -> 'const INTEGER' [004] 5 {Line} [004] 6 {Line} [003] 4 {TypeAlias} 'INTEGER' -> 'int' [003] 2 {Line} [003] 3 {Line} [003] 8 {Line} [003] 8 {Line} [003] 9 {Line} [002] 1 {TypeAlias} 'INTPTR' -> '* const int' [002] 9 {Line} Looking at...
2005 Apr 21
9
Screen capture, save to file
...#39;'dl/import'' module ScreenCapture extend DL::Importable dlload "kernel32.dll","user32.dll","gdi32.dll" HORZRES = 8 VERTRES = 10 SRCCOPY = 0xCC0020 GMEM_FIXED = 0 GMEM_MOVEABLE = 0x0002 DIB_RGB_COLORS = 0 GHND = 0x40 GPTR = 0x42 typealias "HDC","unsigned long" typealias "HBITMAP","unsigned long" extern "HDC GetWindowDC(int)" extern "HDC CreateCompatibleDC(HDC)" extern "int GetDeviceCaps(HDC, int)" extern "HBITMAP CreateCompatibleBitmap(HDC, int, in...
2020 Mar 16
3
GSOC Projects
Hey, I am Swapnil Raj I am student in Trinity College Dublin and I am interested in working on LLVM. I am really interested in two projects listed, the first one is the extending the clang AST with template information and the second is finding smart null pointer dereferences. I am passionate about compilers and interpreters, I have written a few small language based on lambda calculus. I am
2006 Feb 24
2
[LLVMdev] gcc like attributes and annotations
...c information to the type beeing used. For instance: struct A { int x; }; struct B { int y; }; get mapped to the same type -> { int } %struct.A = type { int } %struct.B = type { int } BTW: How would one generate a type alias like the above through the LLVM API? Is there a Type like TypeAlias - I couldn't find one. Here I have no ability to set a name with a Type: vector< const Type * > tvec = { Type::IntTy }; Type * ty = StructType::get( tvec ); But what I wanted to say is that they are the same type. This structural type system (compared to nominal typesystems) is ve...