search for: synthesizable

Displaying 16 results from an estimated 16 matches for "synthesizable".

2009 Jul 13
0
[LLVMdev] [PATCH] Support asm comment output
...t; be explicitly store in the machineinstr, they can be synthesized from >> the MachineOperand directly. > > Some things we've used comments for: > > - Tag instructons with source line information (customers really > want this). Right, that would be nice. This should be synthesizable from the DebugLoc on the instruction in the asm printer, no need to redundantly encode it into the comment field. > - Tag instructions as register spills or reloads. I'm not sure what this means exactly, but would it be sufficient for the asmprinter to use isLoadFromStackSlot and pri...
2009 Jul 13
3
[LLVMdev] [PATCH] Support asm comment output
On Sunday 12 July 2009 18:56, Chris Lattner wrote: > On Jul 10, 2009, at 3:05 PM, David Greene wrote: > > Here's the first of several patches to get comments into asm > > output. This one > > adds comment information to MachineInstructions and outputs it in the > > generated AsmPrinters. This includes TableGen work to trigger the > > comment > > output
2009 Jul 13
2
[LLVMdev] [PATCH] Support asm comment output
...t; Makes sense, thanks. Please do it as a separate patch from the other > changes though since it will be large and mechanical. Ok, no problem. > > - Tag instructons with source line information (customers really > > want this). > > Right, that would be nice. This should be synthesizable from the > DebugLoc on the instruction in the asm printer, no need to redundantly > encode it into the comment field. Except the DebugLoc stuff isn't all there yet AFAIK. We've been using the comment mechanism for over a year. I agree we should move to synthesizing it from DebugLo...
2009 Mar 27
0
[LLVMdev] Announcing the Open Source Release of TTA-Based Codesign Environment (TCE) 1.0
...rs (ASP) based on the Transport Triggered Architecture (TTA). TTA is a minimalistic processor architecture template that allows high level of control for the designer to choose the boundary between the hardware and the software. The toolset provides a complete codesign flow from C programs down to synthesizable VHDL and parallel program binaries. Processor customization points include the register files, function units, supported operations, and the interconnection network. TCE has been developed internally in the Department of Computer Systems of Tampere University of Technology since the early 2003. No...
2009 Mar 27
1
[LLVMdev] Announcing the Open Source Release of TTA-Based Codesign Environment (TCE) 1.0
...rs (ASP) based on the Transport Triggered Architecture (TTA). TTA is a minimalistic processor architecture template that allows high level of control for the designer to choose the boundary between the hardware and the software. The toolset provides a complete codesign flow from C programs down to synthesizable VHDL and parallel program binaries. Processor customization points include the register files, function units, supported operations, and the interconnection network. TCE has been developed internally in the Department of Computer Systems of Tampere University of Technology since the early 2003. No...
2010 Jun 07
0
[LLVMdev] TTA-Based Codesign Environment (TCE) v1.2 released!
Announcing the Release of TTA-Based Codesign Environment (TCE) v1.2 TTA-Based Codesign Environment (TCE) is a toolset for designing application-specific processors (ASP) based on the Transport Triggered Architecture (TTA). The toolset provides a complete codesign flow from C programs down to synthesizable VHDL and parallel program binaries. Processor customization points include the register files, function units, supported operations, and the interconnection network. New features since the last release include LLVM 2.7 support with Clang as the default compiler frontend, Altera MIF-format support...
2010 Nov 10
0
[LLVMdev] TTA-Based Codesign Environment (TCE) v1.3 released
...released -------------------------------------------------- TTA-Based Codesign Environment (TCE) is a toolset for designing application-specific processors (ASP) based on the Transport Triggered Architecture (TTA). The toolset provides a complete retargetable codesign flow from C programs down to synthesizable VHDL and parallel program binaries. Processor customization points include the register files, function units, supported operations, and the interconnection network. Notable new features -------------------- - Support for LLVM 2.8 (support for LLVM 2.7 retained) - ttasim: call info (setting profil...
2011 Dec 13
0
[LLVMdev] TTA-based Co-design Environment (TCE) v1.5 released
TTA-based Co-design Environment (TCE) is a toolset for designing application-specific processors based on the Transport Triggered Architecture (TTA). The toolset provides a complete retargetable co-design flow from high-level language programs down to synthesizable VHDL and parallel program binaries. Processor customization points include the register files, function units, supported operations, and the interconnection network. This release includes support for LLVM 3.0, experimental OpenCL C Embedded Profile support (in offline compilation/standalone mode),...
2012 Jun 07
0
[LLVMdev] TCE 1.6 released
...---------------------------------------------- TTA-based Co-design Environment (TCE) is a toolset for designing application-specific processors based on the Transport Triggered Architecture (TTA). The toolset provides a complete retargetable co-design flow from high-level language programs down to synthesizable processor RTL (VHDL and Verilog backends supported) and parallel program binaries. Processor customization points include the register files, function units, supported operations, and the interconnection network. This release adds support for LLVM 3.1, experimental Verilog backend for the Processo...
2002 Feb 24
0
Open Source PCI Bridge Soft Core
...subject, I'd like to ask you if you can forward this email to him/her. The OpenCores organization announces the immediate availability of the open-source, free, complete 33/66MHz 32-bit PCI Bridge Soft Core solution. PCI Bridge Complete & Tested The PCI Bridge Soft Core is a complete, synthesizable RTL (Verilog) code that provides bridging between the PCI and a WISHBONE (System-on-Chip) bus. The complete package includes comprehensive specification and design documentation, a comprehensive verification suite, and a test application. Test application is a ?VGA card? implemented using a Xil...
2017 Sep 20
0
TTA-based Co-design Environment (TCE) v1.16 released
TTA-based Co-design Environment (TCE) is a toolset for design and programming of low power customized processors based on the Transport Triggered Architecture (TTA). The toolset provides a complete retargetable co-design flow from high-level language programs down to synthesizable processor RTL (VHDL and Verilog generation supported) and parallel program binaries. Processor customization points include the register files, function units, supported operations, and the interconnection network. Release Highlights ================== - Support for LLVM 5.0. - Support for little-...
2018 Mar 12
0
TTA-based Co-design Environment (TCE) v1.17 released
TTA-based Co-design Environment (TCE) is a toolset for design and programming of low power customized processors based on the Transport Triggered Architecture (TTA). The toolset provides a complete retargetable co-design flow from high-level language programs down to synthesizable processor RTL (VHDL and Verilog generation supported) and parallel program binaries. Processor customization points include the register files, function units, supported operations, and the interconnection network. Release Highlights ================== - Support for LLVM 6.0. - Sane defaults for...
2011 Apr 11
0
[LLVMdev] TTA-Based Co-design Environment (TCE) v1.4 released
TTA-Based Co-design Environment (TCE) is a toolset for designing application-specific processors (ASP) based on the Transport Triggered Architecture (TTA). The toolset provides a complete retargetable co-design flow from C programs down to synthesizable VHDL and parallel program binaries. Processor customization points include the register files, function units, supported operations, and the interconnection network. This release includes support for LLVM 2.9, some new VHDL implementations (an FPU and streaming operations), a connectivity optimize...
2010 Feb 18
1
[LLVMdev] Master's thesis: Retargetable Compiler Backend for Transport Triggered Architectures
...onment (TCE) is a semi-automated toolset developed at the Tampere University of Technology for designing processors based on an easily customizable Transport Triggered Architecture (TTA) processor architecture template. The toolset provides a complete co-design toolchain from program source code to synthesizable hardware design and program binaries. One of the most important tools in the toolchain is the compiler. The compiler is required to adapt to customized target architectures and to utilize the available processor resources as efficiently as possible and still produce programs with correct behavi...
2009 Jul 13
0
[LLVMdev] [PATCH] Support asm comment output
On Jul 13, 2009, at 10:02 AM, David Greene wrote: >>> - Tag instructons with source line information (customers really >>> want this). >> >> Right, that would be nice. This should be synthesizable from the >> DebugLoc on the instruction in the asm printer, no need to >> redundantly >> encode it into the comment field. > > Except the DebugLoc stuff isn't all there yet AFAIK. We've been > using the > comment mechanism for over a year. I agree we shou...
2019 Apr 26
2
[RFC][clang/llvm] Allow efficient implementation of libc's memory functions in C/C++
...s of all libc calls __attribute__((disable_libc_call_synthesis)) With this one we are losing precision and we may inline too much. There is also the question of what is considered a libc function, LLVM mainly defines target library calls. 1.3 Stretch - a specific attribute to redirect a single synthesizable function. This one would help explore the impact of replacing a synthesized function call with another function but is not strictly required to solve the problem at hand. __attribute__((redirect_synthesized_calls("memcpy", "my_memcpy"))) 2. A set of builtins in clang to commu...