search for: glapitemp

Displaying 3 results from an estimated 3 matches for "glapitemp".

2008 Nov 11
2
Memory corruption on Gallium window resize, diagnosed?
.../st_draw.c:634 #6 0xf782c140 in vbo_exec_vtx_flush (exec=0x80ac870) at vbo/vbo_exec_draw.c:248 #7 0xf782a858 in vbo_exec_FlushVertices (ctx=0x8074d18, flags=1) at vbo/vbo_exec_api.c:752 #8 0xf7761f39 in _mesa_Flush () at main/context.c:1815 #9 0xf7e00ae6 in glFlush () at ../../../src/mesa/glapi/glapitemp.h:1170 #10 0x08048dba in Draw () at tri.c:83 #11 0xf7ecdc54 in processWindowWorkList (window=0x8051200) at glut_event.c:1302 #12 0xf7ecdd3a in __glutProcessWindowWorkLists () at glut_event.c:1354 #13 0xf7ecddb1 in glutMainLoop () at glut_event.c:1375 #14 0x08048fd8 in main (argc=1, argv=0xfff760e4)...
2009 Dec 17
1
Question about nv40_draw_array
...data/deadwood/AROS/AROS/contrib/gfx/libs/mesa/src/mesa/main/dlist.c:7622 #5 0x98657b4b in neutral_CallList (i=1) at /data/deadwood/AROS/AROS/contrib/gfx/libs/mesa/src/mesa/main/vtxfmt_tmp.h:298 #6 0x9853e65a in glCallList (list=1) at /data/deadwood/AROS/AROS/contrib/gfx/libs/mesa/src/mesa/glapi/glapitemp.h:95 at this point nv40->vtxbuf[0] contains a vertex buffer that was previously used to store a compiled call list. My question is: how data from this buffer is being transfered to gfx card/used by gfx card. I went through the software path "nv40_draw_elements_swtnl" and found a...
2015 Feb 07
5
[LLVMdev] mesa-10.4.4: BROKEN TLS support in GLX with llvm-toolchain v3.6.0rc2
...ectory `/home/wearefam/src/mesa/mesa-git/src/mapi' Making all in glapi/gen make[4]: Entering directory `/home/wearefam/src/mesa/mesa-git/src/mapi/glapi/gen' GEN ../../../../src/mapi/glapi/glapi_mapi_tmp.h GEN ../../../../src/mapi/glapi/glprocs.h GEN ../../../../src/mapi/glapi/glapitemp.h GEN ../../../../src/mapi/glapi/glapitable.h GEN ../../../../src/mapi/glapi/glapi_gentable.c GEN ../../../../src/mapi/glapi/glapi_x86-64.S GEN ../../../../src/mesa/main/enums.c GEN ../../../../src/mesa/main/api_exec.c GEN ../../../../src/mesa/main/dispatch.h GEN...