search for: 7l9

Displaying 3 results from an estimated 3 matches for "7l9".

Did you mean: 79
2011 Dec 14
2
[LLVMdev] Failure to optimize ? operator
...x,4), %eax        imull   %edx, %eax leal    1(%eax,%ecx,2), %eax        ret        .p2align 4,,15 _f2:         pushl   %ebp        xorl    %eax, %eax        movl    %esp, %ebp        movl    8(%ebp), %edx        testl   %edx, %edx        jle     L9        popl    %ebp        ret        .p2align 4,,7L9: movl    %edx, %ecx        imull   %edx, %ecx        popl    %ebp leal    3(%ecx,%ecx,4), %eax        imull   %edx, %eax        leal 1(%eax,%ecx,2), %eax ret============================================== Brent On Wed, Dec 14, 2011 at 9:58 AM, Eli Friedman <eli.friedman at gmail.com> wrote...
2011 Dec 14
0
[LLVMdev] Failure to optimize ? operator
On Tue, Dec 13, 2011 at 5:59 AM, Brent Walker <brenthwalker at gmail.com> wrote: > The following seemingly identical functions, get compiled to quite > different machine code.  The first is correctly optimized (the > computation of var y is nicely moved into the else branch of the "if" > statement), which the second one is not (the full computation of var y > is
2011 Dec 13
4
[LLVMdev] Failure to optimize ? operator
The following seemingly identical functions, get compiled to quite different machine code. The first is correctly optimized (the computation of var y is nicely moved into the else branch of the "if" statement), which the second one is not (the full computation of var y is always done). The output was produced using the demo page on llvm's web site (optimization level LTO). Can